翻訳と辞書
Words near each other
・ Timmer
・ Timmerhuset
・ Timmerman
・ Timimoun Airport
・ Timimoun District
・ Timimus
・ Timinciler, Koçarlı
・ Timing
・ Timing (Kim Hyun-joong album)
・ Timing (music)
・ Timing advance
・ Timing attack
・ Timing belt
・ Timing belt (camshaft)
・ Timing channel
Timing closure
・ Timing diagram
・ Timing diagram (Unified Modeling Language)
・ Timing failure
・ Timing Is Everything
・ Timing Is Everything (album)
・ Timing Library Format
・ Timing light
・ Timing margin
・ Timing mark
・ Timing of Sahabah becoming Muslims
・ Timing point
・ Timing Synchronization Function
・ Timingila
・ Timiperone


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

Timing closure : ウィキペディア英語版
Timing closure

Timing closure is the process by which an FPGA or a VLSI design is modified to meet its timing requirements. Most of the modifications are handled by EDA tools based on directives given by a designer. The term is also used for the goal that is achieved, when such a design has reached the end of the flow and its timing requirements are satisfied.
The main steps of the design flow, which may be involved in this process, are logic synthesis, placement, clock-tree synthesis and routing. With present technologies all of them need to be timing-aware for a design to properly meet its timing requirements, but with technologies in the range of the micrometre only logic synthesis EDA tools had such a prerequisite.
Nevertheless, even if timing-awareness was extended to all these steps starting from well-established principles used for logic synthesis, the two phases, logic and physical, of the timing closure process are conventionally handled by different design teams and different EDA tools. (Design Compiler ) by Synopsys, (Encounter RTL Compiler ) by Cadence Design Systems and (BlastCreate ) by Magma Design Automation are examples of logic synthesis tools. (IC Compiler ) by Synopsys, (SoC Encounter ) by Cadence Design Systems and (Blast Fusion ) by Magma Design Automation are examples of tools capable of timing-aware placement, clock tree synthesis and routing and therefore used for physical timing closure.
A timing requirement needs to be translated into a static timing constraint for an EDA tool to be able to handle it.
== See also ==

*Design closure
*Electronic design automation
*Design flow (EDA)
*Integrated circuit design
*Physical timing closure
*Static timing analysis

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「Timing closure」の詳細全文を読む



スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.